午夜性刺激在线观看免费,全免费A级毛片免费看无码,国产精品亚洲一区二区三区久久,亚洲精品无码久久久久,国产三区在线成人AV,亚洲乱码一区二区三区在线欧美,国产一区二区视频在线播放,久久亚洲精品无码观看不卡,精品九九人人做人人爱,少妇人妻无码精品视频app

廣告

2022年全球半導體行業(yè)10大技術趨勢

2020年秋開始在全球范圍內爆發(fā)的芯片短缺,在2021年持續(xù)了一整年仍沒有緩解態(tài)勢,半導體行業(yè)在拓展產能的同時,也在積極將工藝升級提高產出率。另一方面,新冠病毒不斷出現(xiàn)變異,疫情的延續(xù)對于整個半導體行業(yè)的影響依舊存在,遠程辦公、線上會議和在線教育習慣的形成,加速了多個產業(yè)的數(shù)字化轉型,也從側面促進了網絡通信、AI、存儲和云服務等技術更新。

AspenCore全球分析師團隊在這一年中與業(yè)內專家和廠商交流,總結分析后挑選出了2022年全球半導體行業(yè)將出現(xiàn)或高速發(fā)展的10大技術趨勢。tgSesmc

tgSesmc

3nm工藝量產,2nm競爭不確定性增加

半導體尖端制造工藝方面,2020年三星foundry臨時將4LPE調整為完整工藝節(jié)點——即4nm工藝會成為三星接下來一段時間的推廣重點。加上2021年10月臺積電發(fā)布的消息基本明確了N3工藝的稍許延后,2022年或許將成為4nm工藝之年;iPhone 14要趕上3nm工藝是幾乎無望的。tgSesmc

不過基本可以明確的是,雖然采用臺積電N3工藝的芯片最快大概需要等到2023年一季度才會問世,但N3工藝量產明確在是2022年第四季度。tgSesmc

與此同時,我們認為,三星3nm GAA或許會比臺積電N3再晚一點。三星在3nm節(jié)點上開始采用GAA結構晶體管是焦點,但實際上三星也未能按照時間點如期推進。而且基于三星目前公開的數(shù)據,其最早的3nm工藝在技術層面可能會存在更大的不確定性。tgSesmc

至于Intel 3,即便按照規(guī)劃也是完全趕不上2022年的班車的。我們認為,臺積電N3將持續(xù)保持市場優(yōu)勢地位,并且相較另外兩名對手暫時有著顯著的領先。但在N3上踩一腳剎車,實際上也為2nm時代的來臨埋下隱患。tgSesmc

一方面Intel 20A工藝預計將在2024年上半年到來,Intel 18A則或可見于2025年下半年——Intel在這兩個節(jié)點上預備重返技術領先地位的決心是相當大的;另一方面三星預計將在2025年下半年量產的2nm工藝,將是其第三代GAA結構晶體管,即其3nm工藝雖然很難取得市場優(yōu)勢地位,但技術上將為其2nm工藝提供強有力的支撐。這些都為后續(xù)2nm工藝市場競爭增加了不確定性。tgSesmc

DDR5標準內存進入量產和商用

2020年7月15日,為了解決從客戶端系統(tǒng)到高性能服務器的廣泛應用所面臨的性能和功耗挑戰(zhàn),固態(tài)技術協(xié)會(JEDEC)正式發(fā)布了下一代主流內存標準DDR5 SDRAM的最終規(guī)范(JESD79-5),為全球計算機內存技術拉開了新時代的序幕。JEDEC將DDR5描述為一種“具備革命意義”的內存架構,認為它的出現(xiàn)標志著整個行業(yè)即將向DDR5服務器雙列直插式內存模塊(DIMM)過渡。tgSesmc

市場調研機構Omdia分析指出,對DDR5的市場需求從2020年已經開始逐步顯現(xiàn),到2022年,DDR5將占據整個DRAM市場份額的10%,2024年則將進一步擴大至43%;Yole Development則預測稱,DDR5的廣泛采用應該會從2022年的服務器市場開始,2023年,手機、筆記本電腦和PC等主流市場將開始廣泛采用DDR5,出貨量明顯超過DDR4,兩種技術間完成快速過渡。tgSesmc

內存帶寬增長速度遠遠趕不上處理器性能的提升速度,這是DDR5推出的根本動力所在。但與其先前幾代產品的迭代重點主要集中在如何降低功耗上,并將PC視為應用優(yōu)先級不同,業(yè)界普遍認為,DDR5將緊隨DDR4的步伐,率先導入數(shù)據中心。tgSesmc

DDR5最亮眼的部分,就是速度比已經“超級快”的DDR4還要快。與1.6GHz時鐘頻率下DDR4內存最高3.2Gbps的傳輸速度相比,全新DDR5內存的最高傳輸速率達到了6.4Gbps,并同步將供電電壓從DDR4的1.2V降至1.1V,進一步提升了內存的能效表現(xiàn)。tgSesmc

目前,三星、SK海力士和美光等全球存儲巨頭已經宣布了各自的DDR5產品量產和商用時間表。不過,DDR5的面市絕非一蹴而就,它需要得到包括系統(tǒng)和芯片服務商、渠道商、云服務提供商和原始設備制造商在內的生態(tài)系統(tǒng)的強力支持。tgSesmc

DPU市場蛋糕持續(xù)做大和爆發(fā)

DPU這個名號變得響亮是從2020年近年底的時候開始的。我們認為,將DPU這一名詞變得熱門的市場行為,一是英偉達收購以色列公司Mellanox之后,次年就發(fā)明了 “DPU”一詞;二是同年初創(chuàng)公司Fungible大肆推廣DPU這個名字。tgSesmc

DPU的D是指data數(shù)據。不得不承認老黃是營銷鬼才,smartNIC搖身一變就成了DPU數(shù)據處理器;而且以迅雷不及掩耳之勢,短時間內冒出了數(shù)十家DPU初創(chuàng)企業(yè)。tgSesmc

DPU本質上是smartNIC進化,但從DPU的火熱并不難看出數(shù)據中心對于數(shù)據方向專用處理器的熱烈渴求,以及形態(tài)上的進一步固定和標準化。tgSesmc

早年數(shù)據中心有個詞叫“數(shù)據中心稅”,即服務器選購很多核心的CPU,但對最終業(yè)務而言,其中一部分核心是默認被“吞噬”的。因為這些處理器資源需要用來做數(shù)據虛擬networking、安全、存儲、虛擬化等工作。當這些工作變得越來越復雜,DPU就出現(xiàn)了。就像針對圖形計算有GPU,針對AI計算有NPU,DPU也是這個時代專用計算崛起的一個產物。tgSesmc

一般我們說DPU的工作包括了第一,offload(卸載)原屬于CPU的OVS、存儲、安全服務之類的活兒;第二,以hypervisor管理做隔離、虛擬化實現(xiàn);第三是以各種方式,進一步加速跨節(jié)點的數(shù)據處理。tgSesmc

DPU成為數(shù)據中心標配也就不難理解。但需要注意的是,在具體實現(xiàn)上,不同的DPU不應同臺競技,這是由其扮演角色差異導致的。比如Intel的IPU雖然也是一種DPU,但和英偉達DPU在職責和工作偏向性上仍然有不同。所以有一定可能性,DPU市場或許會出現(xiàn)細分。以及數(shù)據中心系統(tǒng)企業(yè)都在自研更具適配性的DPU,這給DPU市場帶來了不確定性。[!--empirenews.page--]存算一體翻越“存儲墻”和“功耗墻”[/!--empirenews.page--]tgSesmc

存算一體翻越“存儲墻”和“功耗墻”

存算一體技術(Processing in-memory,PIM)概念的形成,最早可以追溯到上個世紀七十年代,但當時受限于芯片設計復雜度與制造成本問題,缺少殺手級大數(shù)據應用進行驅動,一直不溫不火。tgSesmc

隨著最近幾年芯片制造工藝的進步和人工智能(AI)應用的發(fā)展,處理器計算能力越來越強、運算速度越來越快,存儲容量越來越大。面臨數(shù)據洪流,數(shù)據搬運慢、搬運能耗大等問題成為了計算瓶頸。從處理單元外的存儲器提取數(shù)據,搬運時間往往是運算時間的成百上千倍,整個過程的能耗大概在60%-90%之間,能效非常低。tgSesmc

另一方面,接近極限的摩爾定律和被存儲墻限制的馮諾依曼架構,在算力提升上也已經不能滿足這個時代的需求。當前多種嘗試解決處理“存儲墻”與“功耗墻”的非馮諾依曼(non-von Neumann)架構包括低電壓亞閾值數(shù)字邏輯ASIC、神經模態(tài)(Neuromorphics)計算和模擬計算等,而存算一體是最直接高效的一種。tgSesmc

存算一體可理解為在存儲器中嵌入算法,令存儲單元具備計算能力,這是新型的運算架構,做的是二維和三維矩陣乘法運算,而不是在傳統(tǒng)邏輯運算單元上優(yōu)化。這樣能從理論上消除數(shù)據搬移的延遲和功耗,成百上千倍地提高AI計算效率,降低成本,因此格外適用于神經網絡。tgSesmc

當前國內外已經有一大批存算一體芯片公司伴隨著融資信息浮出水面,動輒億元起的融資金額也充分證明了后摩爾時代,異構計算和新架構正在獲得資本的青睞?;诓煌拇鎯橘|,各家在做存算一體技術的時候會采用不同的技術方向,有些是憶阻器,有些則是SRAM、DRAM、Flash等。隨著3D堆疊技術的發(fā)展,以及新型非易失性存儲器件的日益成熟,存算一體將迎來屬于它的時代。tgSesmc

5G建設重點向獨立組網和毫米波轉變

憑借光纖般的速度、超低時延和網絡大容量,5G正在產生如同電力一樣巨大的影響力,徹底變革著各行各業(yè)。tgSesmc

而作為Sub-6GHz頻段的有力補充,5G毫米波具備頻率寬帶容量大,易與波束賦形結合,超低時延等多個突出優(yōu)勢,有利于推動工業(yè)互聯(lián)網、AR/VR、云游戲、實時計算等行業(yè)的發(fā)展。同時,毫米波可以支持密集區(qū)域的部署,進行高精度定位,設備集成度高,將有利于促進基站和終端的小型化發(fā)展。tgSesmc

根據GSMA《毫米波的應用價值》報告,預計到2035年,5G毫米波將創(chuàng)造5650億美元的全球GDP,并產生1520億美元的稅收,占到5G創(chuàng)造總價值的25%。而另一份《5G毫米波在中國》的報告則指出,預計到2034年,在中國使用毫米波頻段所帶來的經濟收益將達到1040億美元,其中垂直行業(yè)領域中的制造業(yè)和水電等公用事業(yè)占貢獻總數(shù)的62%,專業(yè)服務和金融服務占12%,信息通信和貿易占10%。tgSesmc

目前,已有48個國家的186家運營商正在26-28GHz、37-40GHz和47-48GHz的毫米波頻譜上規(guī)劃發(fā)展5G;23個國家的134家運營商持有許可證,可以進行毫米波部署,北美、歐洲和亞洲占據所有頻譜部署的75%。其中,26-28GHz是被部署和發(fā)放牌照最多的毫米波頻段,37-40GHz頻段緊隨其后。tgSesmc

但并不是所有應用場景都需要毫米波覆蓋。2021年7月,中國工信部聯(lián)合十部門印發(fā)《5G應用“揚帆”行動計劃(2021-2023年)》,提出針對工業(yè)物聯(lián)網、車聯(lián)網、物流、港口、電力、農業(yè)等9個場景進行5G業(yè)務深化推進,而上述場景對帶寬、時延的要求非常高,易于毫米波發(fā)揮自身優(yōu)勢。tgSesmc

EDA工具開始使用AI設計芯片

當前智能手機、車聯(lián)網、IoT等終端,對于系統(tǒng)級芯片(SoC)的PPA (功耗、性能、面積)提出了更高的要求。面對動輒數(shù)百億顆晶體管的芯片設計規(guī)模,以及異構集成、系統(tǒng)級封裝、Chiplets等新的封裝方向,如果沒有機器學習(ML)和人工智能的輔助,只用現(xiàn)有的設計方法,工程師們會面臨越來越嚴峻的挑戰(zhàn)。tgSesmc

將AI設計從概念升級到實戰(zhàn)階段,無論是在EDA工具中應用AI算法賦能芯片設計的“AI Inside”,還是關注如何設計EDA工具助力AI芯片高效設計的“AI Outside”,EDA工業(yè)界和學術界都已經開始行動。在國家戰(zhàn)略層面,美國國防高級研究計劃局(DARPA)甚至開始將電子資產智能設計(IEDA) 作為代表性項目,重點突破優(yōu)化算法、7nm以下芯片設計支持、布線和設備自動化等關鍵技術難題。tgSesmc

其實AI用于芯片設計已經不是新鮮事了,谷歌當年在設計TPU芯片時就用到了AI技術;三星將AI技術融入到芯片的設計中,據稱超越了此前可以達到的芯片PPA效果;英偉達也正在用AI算法來優(yōu)化5nm和3nm芯片的設計…tgSesmc

總的來說,芯片設計后端(或稱物理實現(xiàn)),尤其是人力占比巨大的版圖、布局布線領域是AI發(fā)力的關鍵,快速建模、電路仿真、提升VLSI QoR等也都是EDA運用AI的方向。可以看出目前AI的優(yōu)勢在于執(zhí)行大規(guī)模運算、對比提取或對一些功能進行增強,而在“從0到1”的創(chuàng)造階段以及決策階段,仍需要配合人類工程師。但不管怎么說,AI將是EDA未來發(fā)展的終極形式,也是未來幾年芯片設計效率提升的關鍵。tgSesmc

Matter將推動物聯(lián)網和智能家居互聯(lián)標準的統(tǒng)一

連接標準聯(lián)盟(Connectivity Standards Alliance,原Zigbee聯(lián)盟)與亞馬遜、蘋果和谷歌等智能家居廠商在原來的IP互聯(lián)家庭項目(Project Connected Home over IP,CHIP)基礎上發(fā)展出Matter這一標準化的互聯(lián)協(xié)議,旨在讓來自不同廠商、采用各種無線連接標準的物聯(lián)網設備實現(xiàn)互操作性和兼容性,從而為消費者帶來更好的設備安裝和操作使用體驗,同時簡化制造商和開發(fā)者的物聯(lián)網設備開發(fā)流程。tgSesmc

Matter作為應用層,可以將采用各種 IP 協(xié)議和互聯(lián)標準運行的設備統(tǒng)一起來,支持它們進行跨平臺的通信。獲得Matter認證的產品可以與亞馬遜Alexa、蘋果HomeKit,以及谷歌等智能家居生態(tài)系統(tǒng)兼容。Matter協(xié)議目前支持以太網、Wi-Fi和Thread三種底層通信協(xié)議,并且還統(tǒng)一采用低功耗藍牙(BLE)作為配對方式。Matter不會取代任何現(xiàn)有物聯(lián)網無線協(xié)議,它是運行在現(xiàn)有協(xié)議之上的一個架構,將來還會支持更多的協(xié)議,包括Zigbee和Z-Wave等。tgSesmc

Matter標準已經得到互聯(lián)網巨頭(亞馬遜、蘋果和谷歌)、芯片供應商(Silicon Labs、NXP和樂鑫科技)、物聯(lián)網和智能家居設備廠商(宜家、華為和OPPO),以及智能家居平臺(涂鴉和Wulian)的支持,預計從2022年開始將會在全球范圍內快速增長和普及,成為物聯(lián)網和智能家居的統(tǒng)一互聯(lián)標準。tgSesmc

RISC-V架構處理器進入高性能計算應用領域

10年前起源于UC-Berkeley的RISC-V現(xiàn)已成為主流的微處理器架構指令集(ISA),但其主要應用還局限在嵌入式系統(tǒng)和微控制器(MCU)領域,尤其是新興的物聯(lián)網市場。這一開源、免費和自由的微處理器架構能否像x86和Arm那樣擔當高性能計算(HPC)的重任?從芯片巨頭、fabless初創(chuàng)公司到微處理器內核IP開發(fā)商都在嘗試將RISC-V引入數(shù)據中心、AI、5G和服務器等高性能計算應用領域,RISC-V大有與x86和Arm平分天下之勢。tgSesmc

SiFive的Performance系列是其最高性能的 RISC-V 內核,專為網絡、邊緣計算、自主機器、5G 基站、虛擬/增強現(xiàn)實而設計。最新的P550微處理器采用RISC-V RV64GBC ISA、13級流水線/三發(fā)射/亂序執(zhí)行的微架構、四核集群具有4MB的三級緩存、主頻 2.4 GHz。P550 內核的SPECint 2006測試性能為8.65/GHz,與Arm Cortex-A75對比,在SPECint2006 和 SPECfp2006 整數(shù)/浮點基準測試中具有更高的性能,而占用面積卻小得多,四核P550 集群的占用空間與單個Cortex-A75大致相當。tgSesmc

英特爾將在其7nm Horse Creek平臺中使用P550內核,通過將英特爾接口 IP(如 DDR 和 PCIe)與 SiFive 的最高性能處理器相結合,Horse Creek 將為高端RISC-V 應用提供有價值且可擴展的開發(fā)工具。tgSesmc

硅谷IC設計初創(chuàng)公司Esperanto推出了集成1000多個RISC-V內核的AI加速器芯片ET-SoC-1,專為數(shù)據中心AI推理而設計。該芯片采用臺積電7nm工藝,集成了240 億個晶體管。ET-SoC-1包含1088個高效能ET-Minion 64位RISC-V有序內核(而且每個內核都自帶一個矢量/張量單元);4個高性能ET-Maxion 64位RISC-V亂序內核;超過160MB片上SRAM;外接LPDDR4x DRAM和eMMC FLASH的大容量存儲器接口;PCIe x8 Gen4和其它通用I/O接口。該芯片的峰值計算性能為100-200 TOPS,適用于ML推理,其工作功耗低于20W。tgSesmc

阿里平頭哥的玄鐵910 RISC-V處理器采用12nm工藝,擁有16個內核,主頻最高達2.5GHz,性能高達7.1 Coremark/MHz。這款高性能處理器IP可以用于設計高性能芯片,應用于5G、人工智能、網絡通信以及自動駕駛等領域。搭載玄鐵910處理器的RVB -ICE是平頭哥開發(fā)的支持Android基礎功能的RISC-V開發(fā)板,頻率可達1.2GHz,集成WIFI和GMAC網絡通信接口,以及16GB EMMC存儲。開發(fā)者可使用該開發(fā)板參與RISC-V與Android的生態(tài)建設。tgSesmc

先進封裝技術成“新摩爾定律”

過去數(shù)十年來,摩爾定律猶如燈塔一般引領了半導體行業(yè)的發(fā)展,然而出于物理極限和制造成本的原因,當先進工藝技術走到5nm、3nm,甚至2nm時,通過晶體管微縮工藝以實現(xiàn)更高經濟價值的邏輯正逐漸變得不再有效。tgSesmc

而從市場趨勢來看,過去十年中,數(shù)據計算量的發(fā)展超過了過去四十年的總和,云計算、大數(shù)據分析、人工智能、AI推斷、移動計算,甚至自動駕駛汽車都需要海量計算。而要解決算力增長問題,除了繼續(xù)通過CMOS微縮來提高密度之外,能夠將不同工藝/架構、不同指令集、不同功能的硬件進行組合的異構計算,也成為了重要方式之一。tgSesmc

于是,一條不再是直線的IC技術發(fā)展路線,以及市場對創(chuàng)新解決方案的需求,將封裝,尤其是先進封裝技術,推向了創(chuàng)新的前沿。tgSesmc

最新的調研數(shù)據顯示,2020年至2026年,先進封裝市場復合年增長率約為7.9%。到2025年,該市場營收就將突破420億美元,這幾乎是傳統(tǒng)封裝市場預期增長率(2.2%)的三倍。其中,2.5D/3D堆疊IC、嵌入式芯片封裝(Embedded Die, ED)和扇出型封裝(Fan-Out, FO)是增長最快的技術平臺,復合年增長率分別為21%、18%和16%。tgSesmc

目前,在先進封裝的市場爭奪中,OSAT企業(yè)、晶圓代工廠、IDM、Fabless公司、EDA工具廠商等都加入了其中,且斥資巨大。但總體而言,在可預見的未來,2.5D/3D封裝技術將成為“先進封裝”的核心,提升互聯(lián)密度和采用Chiplet設計會是兩條驅動“先進封裝”發(fā)展的技術路徑,而要展現(xiàn)先進封裝的最大價值,則需要來自全產業(yè)鏈的協(xié)同配合。tgSesmc

汽車域控制器和汽車大腦

隨著汽車行業(yè)向“新四化”不斷演進,整個汽車電子電氣架構正在經歷從傳統(tǒng)分布式架構(Distributed),到基于域的集中式架構(DCU based centralized),再到基于域融合的帶狀架構(DCU fusion basedzonal)的發(fā)展歷程。tgSesmc

目前,國內外的汽車電子電氣架構主要呈現(xiàn)為三域控制架構的情況,即智艙、智算和智駕。預計2030年以后,隨著自動駕駛技術路線的逐漸成熟,自動駕駛高性能芯片將與座艙主控芯片進一步向中央計算芯片融合,從而通過集成進一步提升運算效率并降低成本。tgSesmc

這意味著,現(xiàn)在的汽車需要能力非常強大的“大腦”——既要能夠擔當硬件中樞,還要具備非常強大的計算能力,以滿足在上述轉變過程中產生的對軟硬件提出的新需求。tgSesmc

其實針對自動駕駛系統(tǒng)開發(fā),業(yè)界普遍認為從L2+輔助駕駛到L4/L5級自動駕駛的漸進式路線是最為可行的路徑。這就要求相應的中央計算平臺具備超強的可擴展性,支持系統(tǒng)開發(fā)的平滑演進,滿足各級自動駕駛對于算力和功耗的差異化要求,提升主機廠等合作伙伴的開發(fā)效率。tgSesmc

當然,汽車大腦芯片不能只關心峰值算力高低,而是要做到全面均衡,信息安全、功能安全、異構架構設計、不同數(shù)據類型處理、熱管理等多個方面均應考慮在內。同時,考慮到“軟件定義汽車”已成為行業(yè)共識,因此在設計時,還需要預留出足夠的冗余空間以應對汽車架構和AI算法的不斷變化。tgSesmc

未來,汽車將毫無疑問地成為一臺機電一體化智能設備,現(xiàn)有子系統(tǒng)被盡量多的集成將會成為趨勢,這也使得硬件開發(fā)瓶頸被突破后,軟件引領的卓越用戶體驗開始成為汽車的重要賣點。tgSesmc

原文發(fā)布于ESMC姊妹媒體EETCtgSesmc

本文為國際電子商情原創(chuàng)文章,未經授權禁止轉載。請尊重知識產權,違者本司保留追究責任的權利。
ASPENCORE全球編輯群
全球最大的技術信息集團 ASPENCORE 旗下?lián)碛形迨嗉覈H專業(yè)技術信息機構,在歐洲、北美、東南亞、中日韓、臺灣地區(qū)與印度擁有超過1000萬的工程師社群。面向中國大陸電子工程師和業(yè)界人士,這里有豐富的資源服務于您!
  • 微信掃一掃,一鍵轉發(fā)

  • 關注“國際電子商情” 微信公眾號

近期熱點

廣告
廣告

EE直播間

更多>>

在線研討會

更多>>
人人妻人人玩人人澡人人爽| 免费无码αv片在线观看潮喷| ,欧美日韩永久免费看看视频| 欧美日韩国产中文字幕理论| 精品亚洲AV无码一区二区| 4399视频在线观看免费完整版| 亚洲国产一区二区精品专区发布| 国产精品嫩草影院AV| 久久无码精品一区二区三区| a级毛片免费高清毛片视频| 午夜福利无码国产精品中文字幕| 亚洲av无码片在线播放| 亚洲欧美一区二区成人片| 狠狠综合久久AV一区二区三区| 午夜a级成人免费毛片| 亚洲乱码国产一区三区久久午夜无码鲁丝片| 国产激情一区二区三区APP| 久久毛片免费全部播放无码| 欧美性videos高清精品| 老司国产精品免费视频 | 精品人妻少妇一区二区三区| 国产精品爽爽VA在线观看无码| 他扒开我的内裤强吻着我的下面| 午夜福利无码一区二区| 亚洲中文字幕AⅤ无码性色| 久久久久人妻一区视色| 波多野结衣一区二区| 国产精品成久久久久三级| 真实国产普通话对白乱子子伦视频| 日韩精品人妻一区二区三区四区| 精品视频一区二区三区中文字幕| 国产三级国AV麻豆| 无码人妻丰满熟妇A片护士| 日韩欧美亚洲国产精品字幕久久久| 国产精品自在线拍国产手青青机版| 嫩草影院一二三网址永久入口| 免费看久久妇女高潮a| 亚洲AV无码专区一区二区| 欧美激情一区二区三区在线| 亚洲国产精品热久| 亚洲AV无码一区二区三区少妇o|